• 找到相关文档约15篇, 耗时0.11s vhdl语言编程工具 - 文档搜索结果预览与免费下载
    • 文档格式:ppt 更新日期:2009-10-02
      PowerPoint幻灯片 VHDL语言法组合电路编程实验
      文档预览: 实验三 VHDL语言法组合电路编程实验 掌握用VHDL语言设计组合电路的方法. 一,实验...use ieee.std_logic_1164.all;entity dmux41 is port (a1,a0,d0,d1,d2... 点击下载
    • 文档格式:pdf 更新日期:2012-02-15
      PDF文档 VHDL 编程设计技巧
      文档预览: ZGM831 收集并总结 VHDL 编程设计技巧 VHDL 设计是行为级的设计,所带来的问题... 的语法规则,对系统目标的逻辑行 为进行描述,然后通过综合工具进行电路结构的综合... 点击下载
    • 文档格式:pdf 更新日期:2011-11-11
      PDF文档 VHDL 编程的一些心得体会
      文档预览: 设计以软件编程的方式来处理,而得出一些不可思议的结果。作为一门硬件描述语言,... 但是,限于目前综合工具的水平,VHDL 中的许多语法还不能支持,例如:dout<=din ... 点击下载
    • 文档格式:pdf 更新日期:2011-10-16
      PDF文档 第二章 硬件描述语言VHDL
      文档预览: 这样,工艺更新时,就无须修改程序,只须修改相应的映射工具,所以,在VHDL中,电路设计的编程可以与工艺相互独立。(4) VHDL语言标准、规范,易于共享和复用。VHDL... 点击下载
    • 文档格式:pdf 更新日期:2012-02-27
      PDF文档 Implementing FPGA Design with the OpenCL Standard
      文档预览: 2011 年11 月Altera公司 WP-01173-1.0 白皮书 2011 Altera公司。 保留所有权利。 ALTERA、ARRIA、CYCLONE、HARDCOPY、MAX、MEGACORE、NIOS、QUARTUS和 STRATIX等字词和标识是Altera公司的商标,在美国专利和商标事务所以及 ... 点击下载
    • 文档格式:pdf 更新日期:2011-11-09
      PDF文档 基于 VHDL 语言的 IP 核验证
      文档预览: 基于 VHDL 语言的 IP 核验证(江西理工大学自然科学类资助项目)冯江1,3,王晓燕 ... 核下载到 FPGA(Field Programmable Gate Array,现场可编程门阵列)芯片上做验证,... 点击下载
    • 文档格式:ppt 更新日期:2011-12-16
      PowerPoint幻灯片 第4章VHDL硬件描述语言
      文档预览: 越来越多的硬件电路设计工具向VHDL标准靠拢,支持VHDL语言。在电子工程领域中,... 第4章VHDL硬件描述语言 关键字: ENTITY 格式: ENTITY 实体名 IS 在设计编程时,... 点击下载
    • 文档格式:ppt 更新日期:2009-10-02
      PowerPoint幻灯片 VHDL语言层次化法组合电路编程实验
      文档预览: 实验六 VHDL语言层次化法组合电路编程实验 掌握顶层VHDL语言设计和元件模块调用方法...USE IEEE.STD_LOGIC_1164.ALL;ENTITY OR2A ISPORT (a,b: IN STD_LOGIC;... 点击下载
    • 文档格式:ppt 更新日期:2008-02-02
      PowerPoint幻灯片 VHDL语言与数字集成电路设计
      文档预览: 掌握硬件描述语言的特点和描述方法;掌握相关综合工具和仿真工具的应用.本课程内容...掌握常用EDA工具的基本使用方法掌握VHDL的基本语法和主要编程要点掌握常用数字单元... 点击下载
    共搜索到15篇文档 10篇/页 1/2
  • 您可能感兴趣的
  • vhdl语言编程  vhdl编程实例  vhdl语言  vhdl语言视频教程  vhdl语言100例详解  vhdl语言入门教程  vhdl语言入门视频教程  vhdl语言100例  vhdl语言的仿真软件