• 找到相关文档约2篇, 耗时0.22s 交通灯控制器vhdl - 文档搜索结果预览与免费下载
    • 文档格式:pdf 更新日期:2011-10-19
      PDF文档 自我检测题
      文档预览: (2)用VHDL 语言对控制器和定时器进行描述;(3)设计交通灯控制系统的顶层原理图;(4)完成实际电路的制作。解:(1)顶层设计 traffic 的VHDL 语言程序library ieee;... 点击下载
    • 文档格式:ppt 更新日期:2005-09-02
      PowerPoint幻灯片 TLC的设计
      文档预览: 表1 交通控制灯的状态转移表 当前 转移条件 下一...IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_...用pla表的TOL计数器实体的一段VHDL描述ENTUTY TOL ... 点击下载
    共搜索到2篇文档 10篇/页 1/1
  • 您可能感兴趣的
  • 流水灯vhdl实验报告  交通灯控制器的设计  交通灯控制器  四车道交通灯控制器  模拟交通灯控制器  交通灯控制器外观图  键盘灯控制器  灯控制器  led灯控制器