• quartusii6.0下载 > SE仿真Altera库的建立
  • SE仿真Altera库的建立

    免费下载 下载该文档 文档格式:PDF   更新时间:2007-04-06   下载次数:0   点击次数:1
    文档基本属性
    文档语言:
    文档格式:pdf
    文档作者:pengzhiyuan
    关键词:
    主题:
    备注:
    点击这里显示更多文档属性
    Modlesim SE仿真Altera库的建立
    (注:最近自己准备做后仿真,到坛子里看看了,发现一开始建库就是一个很大的问题.为了便以自己学 习也为了给大家省点事,我花了十来个小时整理了一些资料,全部资料来自 edacn.net/bbs 的 ModelSim 的 〈库〉 ,在下面的资料中不能一一列出作者,请各位网友多多包涵.下面的资料是大家的成果.by CHY 07.04.05)
    1. modesim 怎么调用 altera 的库仿真啊 (megafunctions)
    以前有个帖子说把 quartus 安装目录下的 sim 文件夹里面的文件编译进 modelsim 里面就可以了,可是 sim 文件夹里面我要的那个函数不是.v 文件啊,还有他里面的一些.vhd 文件怎么编译错误啊 是 eda/sim_lib 里,编译错误,我想是你编译的顺序不对 用 EDA/SIM_LIB 中文件直接放到 PROJECT 中,你需要看看它的告错相信.一般是缺库.你可以按提示缺 的库,在 FILE/NEW/LIBRARY 菜单里创建一个映射到 WORK 的库.这样一般就好了. 如何在 modelsim 里如 altera 的库中做后仿真啊,急死了 我用 synplify 综合后, modelsim 做后仿真, 用 我在 modelsim 里面加入了 C:\quartus\eda\sim_lib\modelsim\vhdl 里面的两个库,但是编译的时候还是提示我找不到 library apex20k.还要加什么库啊 郁闷死了
    vlib apex20k vmap apex20k apex20k vcom -work apex20k c:/quartus/eda/sim_lib/apex20k_atoms.vhd vcom -work apex20k c:/quartus/eda/sim_lib/apex20k_components.vhd 谢谢 i8086,我现在知道怎么加入 altera 的库了,但是错误依然在,不知道是什么原因,modelsim 里面的提 示如下: vcom -reportprogress 300 -work work {D:/caiyang/rev_1/caiyang_1.vhd} # Model Technology ModelSim SE vcom 5.7e Compiler 2003.07 Jul 8 2003 # -- Loading package standard # ** Error: (vcom-19) Failed to access library 'acex2k' at "acex2k". # No such file or directory. (errno = ENOENT) # ** Error: D:/caiyang/rev_1/caiyang_1.vhd(7): Library acex2k not found. # -- Loading package std_logic_1164 # -- Loading package numeric_std # -- Loading package components # ** Error: D:/caiyang/rev_1/caiyang_1.vhd(12): Unknown identifier: acex2k # ** Error: D:/caiyang/rev_1/caiyang_1.vhd(14): VHDL Compiler exiting
    library ieee, acex2k; use ieee.std_logic_1164.all; use ieee.numeric_std.all;
    library synplify; use synplify.components.all; use acex2k.acex2k_components.all; ~~~~~~~~~~~~~~~就是提示找不到这个东西,这是用 synplify 综合后的文件的前面几行代码. 除了把 altera 的 apex20k 库加上外,还要把 acex2k 对应的库加上,跟加 apex20k 库差不多.不过我不知道 acex2k 对应的 vhd 文件. 就是不知道是哪个文件啊.我选用的是 cyclone 的器件,好像 synplify 里面是用 acex2k 来表示的.而且我 把 quartus 里面 cyclone_atoms.vhd 和 cyclone_components.vhd 也加了的,都不起作用.难道应该加 synplify 里面的库文件 我只在 modelsim 里面加了 synplify 的 synplify.vhd 这个文件,是不是不够 换成 acex1k 系列的都可以做后仿真

    下一页

  • 下载地址 (推荐使用迅雷下载地址,速度快,支持断点续传)
  • 免费下载 PDF格式下载
  • 您可能感兴趣的
  • quartusii6.0  quartusii破解版下载  quartusii7.2下载  quartusii下载  quartusii破解下载  quartusii5.1破解工具  quartusii9.1  quartusii9.1破解  quartusii使用